首页 > 技术知识 > 正文

基于FPGA灰度图像高斯滤波算法的实现

作者:lee

1. 内容概要

高斯滤波是一种线性平滑滤波,适用于消除高斯噪声,广泛应用于图像处理的减噪过程。通俗的讲,高斯滤波就是对整幅图像进行加权平均的过程,每一个像素点的值,都由其本身和邻域内的其他像素值经过加权平均后得到。高斯滤波的具体操作是:用一个模板(或称卷积、掩模)扫描图像中的每一个像素,用模板确定的邻域内像素的加权平均灰度值去替代模板中心像素点的值。

对于高斯滤波的基础理论知识可参考:《基于FPGA的图像高斯滤波算法理论篇》。

2. 高斯滤波算法实现步骤

ISP—高斯滤波算法-高斯滤波实现

图1 高斯滤波5×5算子模板

ISP—高斯滤波算法-高斯滤波实现1

图2高斯滤波3×3算子

1>串行像素形成3×3矩阵

(x-1,y-1)

(x,y-1)

(x+1,y-1)

(x-1,y)

(x,y)

(x+1,y)

(x-1,y+1)

(x,y+1)

(x+1,y+1)

      f(x,y)表示(x,y)点的像素值。

  g(x,y)表示(x,y)点经过均值处理后的值。

2>用模板确定的邻域内像素的加权平均灰度值去替代模板中心像素点的值

  g(x,y)=1/16* (f(x-1,y-1)+2f(x,y-1)+f(x+1,y-1)

  +2f(x-1,y)+4f(x,y) + 2f(x+1,y)+

  f(x-1,y+1)+2f(x,y+1)+f(x+1,y+1))—————–(1)

3> 用模板扫描图像中的每一个像素

ISP—高斯滤波算法-高斯滤波实现2

图3 模板扫描图像机制

3. FPGA实现

首先将RGB图像转换成Gray图像

方法1

ISP—高斯滤波算法-高斯滤波实现3

                    图4 R/G/B lane形成灰度图像进行高斯滤波

    方法2:

ISP—高斯滤波算法-高斯滤波实现4

             图5Y lane形成灰度图像进行高斯滤波

ISP—高斯滤波算法-高斯滤波实现5源码

ISP—高斯滤波算法-高斯滤波实现6

ISP—高斯滤波算法-高斯滤波实现7

形成3×3像素矩阵

ISP—高斯滤波算法-高斯滤波实现8

利用公式(1)进行高斯滤波的实现

仿真代码:

ISP—高斯滤波算法-高斯滤波实现9

产生行为480的循环数据。

仿真结果:

ISP—高斯滤波算法-高斯滤波实现10

图6 形成3×3的图像矩阵

ISP—高斯滤波算法-高斯滤波实现11

图7高斯滤波的计算结果

实验结果:

ISP—高斯滤波算法-高斯滤波实现12

图8 实验使用原图

ISP—高斯滤波算法-高斯滤波实现13

图9 灰度图像

ISP—高斯滤波算法-高斯滤波实现14

图10 灰度图像经过高斯滤波后的图像

总结:

至此,基于FPGA的三大图像滤波(均值滤波、中值滤波、高斯滤波)处理已经讲解完毕,其中的图像处理效果需要大家自己去实验,去对比。手机拍摄出来的毕竟有差距。

FPGA在前端捕获到数据后首先要对视频图像做一个预处理,然后根据噪声的来源,针对椒盐噪声进行中值滤波,针对高斯噪声进行高斯滤波处理,均值滤波在图像处理中也很常见。

ISP—高斯滤波算法-高斯滤波实现15推荐阅读

《 基于FPGA的灰度图像均值滤波算法的实现ISP—高斯滤波算法-高斯滤波实现16

基于FPGA的中值滤波算法的实现ISP—高斯滤波算法-高斯滤波实现17

基于MATLAB图像处理的中值滤波、均值滤波以及高斯滤波的实现与对比ISP—高斯滤波算法-高斯滤波实现18

欢迎大家关注我的微信公众号FPGA开源工作室,以及QQFPGA开发资料群。您的关注是对我最大的支持。

ISP—高斯滤波算法-高斯滤波实现19ISP—高斯滤波算法-高斯滤波实现20

转载:全栈芯片工程师

猜你喜欢